module hexdigit ( input wire [3:0] in, output reg [6:0] out); always @* begin out = 7'b1111111; case (in) 4'h0: begin out = end endmodule